今シーズン2回めのスキーです、ちなみにあと3回行く予定が入りました。ひどい
日帰りバスツアーで湯沢中里スキー場です。新宿都庁駐車場0730→高坂SA→越後中里1030、帰りは越後中里1800→上里SA→新宿2100過ぎ。高坂ってSAの割には施設が貧弱感が否めないような気がします…
感想としては、コースは、斜面にそって横に広く奥に短い感じです。初級者コースから上級者コースまでまんべんなくあるのですが、行った日は上級者コースが雪崩の危険のために4つ中1つしか開いてませんでした。ただどうしても下るまでの距離が短いのと、初級コースは最後の傾斜が少し緩やかな感じ。連絡コースは適度に傾斜がついてるので必死こいて進まなくても大丈夫なのが良いですね。ちなみに、上級者コースは非圧雪でした。新雪楽しかったです。
滑り…はこの前から成長しないのでなんとも
越後中里駅前
越後中里駅から右のほう
駅舎
駅舎(2)
駅舎の中。ガランとしていてなんとも寂れている感じが…
水上方面の本数の少なさが…
この形の券売機は初めて見ました。こういうのもあるんですね。
ホームと線路を跨ぐ跨線橋です。自由通路になっていて、この先がスキー場の建物に繋がって...
2013/02/16
[ゲーム]TODやってます
01%E6%99%8215%E5%88%8626%E7%A7%92.jpg)
イケメンメガネ(ヒューゴ)
Tales of Destiny(ディレクターズカット)やってます。というだけの話。
ハードはPS2ですけど、I-O DATAのGV-USB2でキャプチャして、PCの画面に映してやってます。普通にプレイできますし、スクリーンショットとか動画も撮れるので結構便利です。ただ音ゲーは遅延的に無理でしたが…
ちなみに今は1週目スタンサイドでヒューゴ戦のあたり。早くリオンサイドやりたいです
進める上での問題は、2時間くらいゲームやるととても疲れてしまうこと…そのせいでなかなか進まない…本当はプレイ動画なり記事なりを書こうかと思いましたけど、とても面倒くさいのでボツ案になりました。
はははははははははは!
ビバ選民思想
なにこれつよい
ただ単にスクショ貼ったらどんな見た目の記事になるのか試したかっただけでは...
2013/02/02
[電子工作]FPGAでPWM

高速なPWM信号を得ようと思うと、なかなかマイコンでは難しいものがあります。例えば、Arduinoだと大体490Hzらしいです(参考:Arduino 日本語リファレンス)。なので、FPGAで書いてみました。
VHDLコード
-- pwm module 2013-1-18
-- internal counter:0~100
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
entity pwm2 is
port( COMP: in std_logic_vector(6 downto 0);
CLK: in std_logic;
SIG: out std_logic);
end pwm2;
architecture RTL of pwm2 is
signal BUF: std_logic;
signal COUNTER: std_logic_vector(6 downto 0);
begin
process(CLK)
begin
if(CLK'event and CLK = '1') then
...
登録:
投稿 (Atom)